440赫兹与现代度量衡

为解决合唱、合奏的定音、作曲的定调和乐器制造的音高校正等而制订的统一音高标准。现行的国际标准音高为1939年5月国际标准协会在伦敦通过的a1=440赫兹,a1(即a小调一级,钢琴键盘C大调上的la)亦称“第一国际音高”或“音乐会音高”。历史上音高标准没有统一规定。低的如1700年法国里尔采用a1=374赫兹,高的如德国北部教堂在1619年出现过 a1=567赫兹,两者相差五度之多。在巴罗克时期,同时存在3种不同的音高标准:家庭的室内乐音高,圣乐团的教堂音高和城市音乐家的铜管音高。因此,J.S.巴赫的某些器乐曲在当时演出时,要比现行的标准音高低半个音;某些康塔塔又比现今的标准音高高半音到全音。总的来说,自H.珀塞尔到L.van贝多芬,包括巴赫、J.海顿和W.A.莫扎特等在内的时期里,a1的音高约在 415~429赫兹之间,称为古典音高。浪漫主义时期,乐器制造随着科学的发展、工艺的改进以及人们崇尚明快嘹亮的音色等原因,a1高达455赫兹左右。1834年,德国斯图特物理学家会议定 a1=440赫兹。米兰拉斯卡拉歌剧院在1856年左右的音高标准为a1=451赫兹;伦敦爱乐协会的音高标准曾一度到达a1=452赫兹;1880年,欧美最负盛名的斯坦韦钢琴以 a1=457赫兹定音。西欧的音高标准自19世纪晚期趋向统一,法国在1859年以a1=435赫兹定为法定标准来实施,1885年维也纳国际会议把它定为“国际音高”。1939年伦敦会议决定恢复斯图加特会议结果。今称a1=440赫兹为“第一国际音高”,称a1=435赫兹为“第二国际音高”。以a1=440赫兹的音高标准,可用多种方法取得,如音叉、定音笛、旋转圆盘等,现今最精确的是用铯-133原子振荡装置来定音。

 
   以上这段蓝字是从百度粘贴过来的,除了我加上了一个a1的注解用红字标出,其它只字未改。下面是原创
   十二平均律是目前世界上通用的把一组音(八度)分成十二个半音音程的律制,各相邻两律之间的振动数之比完全相等,也就是说高一个八度的音程的la振动频率为880赫兹,中间的音是在440赫兹和880赫兹之间分成了十二等份。
    在欧洲音乐的启蒙时代人们并不了解音高音色的物理学原理,只是从很感性的听觉习惯中制作了各种乐器,创作了直击心灵的音乐。继1888年赫兹关于电磁振动的伟大发现后,人类在物理学声学上的成就有了重大突破,也是为了纪念这位伟大的科学家,国际上开始通用的声学度量衡以他的名字来命名,科学家帮助音乐学者在键盘上找到了一系列美好声音的内在联系。
   19世纪及以前两三百年的大量声学研究成果的最后总结者是瑞利,他在1877年出版的两卷《声学原理》中集经典声学的大成,开创了现代声学的先河。至今,特别是在理论分析工作中,还常引用这两卷巨著。他开始讨论的电话理论,目前已发展为电声学。
    据说现在拿起电话准备打时的那个拨号风音就是国际标准音,不过不知道是不是制作误差的原因我听了很多电话的拨号风音实际上都比440赫兹略高一点点,但是并没有高到降B。
   目前我们办公最常用的A4纸实际上也是比照中世纪以来欧洲音乐家一直习惯沿用的记谱用纸的大小来制作的。总之我们生活中林林总总的度量衡大都和欧洲的科学艺术文化的发展有着深厚的渊源关系。度量单位如焦耳卡路里兆分贝。。。。。。
   有人或者感觉科学家大都刻板,科学则更是理性严谨有余情致和浪漫不足的,其实科学又何尝不是把浪漫的情致寓于严谨和理性之中呢?那是一种更高境界的浪漫。

“440赫兹与现代度量衡”有4篇评论

  1. 金仕并 评论道:

    我说电话的拨号音为什么总给人la的感觉(而不是别的音),看来我也模模糊糊能把握一点绝对音高,哈哈。

  2. sophia 评论道:

    呵呵,恭喜你也有一双指挥家的耳朵,听觉的敏感度大部分是先天的。

  3. 金仕并 评论道:

    另外刚又上网查了一下,中国大陆的信令音是有标准的,拨号音是450Hz不是440Hz。所以你的听觉更敏锐,佩服。

  4. Vcejzmrw 评论道:

    job5uy comment4 ,

发表评论

CAPTCHA Image
*